Project

General

Profile

Wiki » History » Version 53

Frédéric Blanc, 2023-12-12 09:40

1 9 Frédéric Blanc
h1. RedPitaya
2 1 Frédéric Blanc
3 42 Frédéric Blanc
{{toc}}
4
5 28 Frédéric Blanc
h2. Zynq-7000 SoC
6
7
The Zynq®-7000 family is based on the Xilinx SoC architecture. These products integrate a feature-rich dual-core or single-core ARM®
8
Cortex™-A9 based processing system (PS) and 28 nm Xilinx programmable logic (PL) in a single device. The ARM Cortex-A9 CPUs are
9
the heart of the PS and also include on-chip memory, external memory interfaces, and a rich set of peripheral connectivity interfaces.
10
11
AMD Zynq 7000 XC7Z010
12
13
*Vivado Select the device xc7z010clg400-1*
14
15
CLB Flip-Flops 35,200
16
Look-Up Tables (LUTs) 17,600
17
Logic Cells 28K
18
19 29 Frédéric Blanc
h2. Carte Redpitaya
20
21 32 Frédéric Blanc
!clipboard-202312041324-mzqat.png!
22 31 Frédéric Blanc
https://redpitaya.com/
23 13 Frédéric Blanc
!clipboard-202312011541-sbukm.png!
24 8 Frédéric Blanc
*Attention il existe plusieurs version de redpitaya*
25
26
STEMlab 125-14 *external clock* (The OS will *not boot* without providing an external clock.)
27
https://redpitaya.readthedocs.io/en/latest/developerGuide/hardware/125-14_EXT/top.html
28 1 Frédéric Blanc
29 9 Frédéric Blanc
pour modifier une STEMlab 125-14 *external clock* en STEMlab 125-14 normale il faut souder 2 resistances 0402 de 22R sur R26 et R25 et dessouder R23 et R24
30 1 Frédéric Blanc
31 10 Frédéric Blanc
!clipboard-202311231551-ugmwn.png!
32 12 Frédéric Blanc
!clipboard-202311271003-ym5pn.png!
33 9 Frédéric Blanc
!clipboard-202311231537-zevpq.png!
34 11 Frédéric Blanc
!clipboard-202311271000-jz8ux.png!
35 8 Frédéric Blanc
36 49 Frédéric Blanc
*connecteur E1* défini dans le fichier de contrainte *redpitaya.xdc*
37 52 Frédéric Blanc
les ports GPIO N et    P sont avec des Pullup interne (définie dans le fichier de contrainte)
38 47 Frédéric Blanc
*exp_n_tri_io* [0..7] (G18,H17,H18,K18,L15,L16,J16,M15)
39
*exp_p_tri_io* [0..7] (G17,H16,J18,K17,L14,L17,K16,M14)
40
*exp_p_trg* (M14) exp_p_tri_io[7]
41 50 Frédéric Blanc
*exp_n_alex*  [0..3] (L15,L17,J16,M15)
42 48 Frédéric Blanc
*LED*
43
*led_o* [0..7] (F16,F17,G15,H15,K14,G14,J15,J14)
44 44 Frédéric Blanc
45
exp_n_tri_io[1.1] correspond a DIO1_N
46 15 Frédéric Blanc
frequence max 464.037Mhz
47 6 Frédéric Blanc
48 53 Frédéric Blanc
h3. Code commande
49
50
*STEMLab 125-14*
51
52
Code commande RS: *127-1087*
53
https://fr.rs-online.com/web/p/oscilloscopes/1271087
54
55
Code Commande farnell: *3935507* 
56
https://fr.farnell.com/red-pitaya/izd0007/kit-de-d-m-stemlab-125-14-acq/dp/3935507
57
58
Code Commande Mouser: *892-028* 
59
https://www.mouser.fr/ProductDetail/Red-Pitaya/28
60
61
62 14 Frédéric Blanc
h2. OS
63 1 Frédéric Blanc
64 15 Frédéric Blanc
h3. OS 1.04
65
66
Please note that you need to change the forward slashes to backward slashes on Windows.
67
68
69 16 Frédéric Blanc
Send the file .bit (red_pitaya_top.bit is the default name) to the Red Pitaya with the scp command.
70 15 Frédéric Blanc
71
<pre><code class="shell">
72 17 Frédéric Blanc
scp red_pitaya_top.bit root@rp-xxxxxx.local:/root
73 15 Frédéric Blanc
</code></pre>
74
75
76 16 Frédéric Blanc
Now establish an SSH communication with your Red Pitaya and check if you have the copy red_pitaya_top.bit in the root directory.
77 15 Frédéric Blanc
78
<pre><code class="shell">
79 17 Frédéric Blanc
redpitaya> ls
80 15 Frédéric Blanc
</code></pre>
81
82
83 16 Frédéric Blanc
Load the red_pitaya_top.bit to xdevcfg with
84 15 Frédéric Blanc
85
<pre><code class="shell">
86 17 Frédéric Blanc
redpitaya> cat red_pitaya_top.bit > /dev/xdevcfg
87 15 Frédéric Blanc
</code></pre>
88
89 40 Frédéric Blanc
https://redmine.laas.fr/attachments/download/4691/STEMlab_125-xx_OS_1.04-18_stable.img.zip
90
91 15 Frédéric Blanc
h3. OS 2.0
92
93 25 Frédéric Blanc
sur l'ordinateur où est installer *Vivado*
94 24 Frédéric Blanc
95 15 Frédéric Blanc
Create .bif file (for example, red_pitaya_top.bif) and use it to generate a binary bitstream file (red_pitaya_top.bit.bin)
96
97
<pre><code class="shell">
98
echo -n "all:{ red_pitaya_top.bit }" >  red_pitaya_top.bif
99
bootgen -image red_pitaya_top.bif -arch zynq -process_bitstream bin -o red_pitaya_top.bit.bin -w
100
</code></pre>
101
102 23 Frédéric Blanc
Bootgen se trouve dans ../Vivado/2023.1/bin
103 15 Frédéric Blanc
104
Send the file .bit.bin to the Red Pitaya with the scp command.
105
106
<pre><code class="shell">
107
scp red_pitaya_top.bit.bin root@rp-xxxxxx.local:/root
108
</code></pre>
109 1 Frédéric Blanc
110 27 Frédéric Blanc
sur la *redpitaya*
111 1 Frédéric Blanc
112 24 Frédéric Blanc
Now establish an SSH communication with your *Red Pitaya* and check if you have the copy red_pitaya_top.bit.bin in the root directory.
113 15 Frédéric Blanc
114 24 Frédéric Blanc
<pre><code class="shell">
115
ls
116 15 Frédéric Blanc
</code></pre>
117
118 1 Frédéric Blanc
119 15 Frédéric Blanc
Load the red_pitaya_top.bit.bin image into the FPGA:
120
121
<pre><code class="shell">
122 24 Frédéric Blanc
/opt/redpitaya/bin/fpgautil -b red_pitaya_top.bit.bin
123 15 Frédéric Blanc
</code></pre>
124
125
126 19 Frédéric Blanc
*autre tuto:*
127
128 20 Frédéric Blanc
Génération du fichier crypté
129 43 Frédéric Blanc
Vivado génère par défaut un fichier .bit. Le pilote s’attend à un autre format contenant un entête particulier. La
130 20 Frédéric Blanc
conversion se fait avec l’utilitaire *bootgen* fourni par le *SDK de Vivado* .
131 1 Frédéric Blanc
Cet outil attend un fichier .bif contenant :
132 20 Frédéric Blanc
133
<pre><code class="shell">
134 21 Frédéric Blanc
a l l :
135
{
136
n o m d u b i t s t r e a m . b i t
137
}
138 20 Frédéric Blanc
</code></pre>
139
140
141
qui sera ensuite fourni à bootgen :
142
143
<pre><code class="shell">
144 26 Frédéric Blanc
../bin/bootgen −image fichierbif.bif −arch zynq −processbitstream bin
145 20 Frédéric Blanc
</code></pre>
146
147
148
Suite à cette commande un fichier nom du bitstream.bit.bin est créé dans le répertoire courant.
149
150
Flasher par utilisation directe de fpga manager
151
152
Le fichier .bit.bin doit être copié/déplacé dans /lib/firmware.
153
Afin d’informer le pilote que le PL doit être flashé, et quel bitstream utiliser, la commande suivante est à utiliser :
154
155
<pre><code class="shell">
156 1 Frédéric Blanc
echo " nom_du_bitstream.bit.bin " > /sys/class/fpga manager/fpga0/firmware
157
</code></pre>
158
159
160 20 Frédéric Blanc
La ligne :
161 21 Frédéric Blanc
<pre><code class="shell">
162
fpga-manager fpga0: writing nom_du_bitstram.bit.bin to Xilinx Zynq FPGA Manager
163
</code></pre>
164 18 Frédéric Blanc
165 51 Frédéric Blanc
s’affichera en cas de succés et la LED connectée sur Prog done doit s’allumer (LED bleue sur la RedPitaya).
166 21 Frédéric Blanc
167 34 Frédéric Blanc
page 34 de document:"tuto redpitaya UdFC"
168 15 Frédéric Blanc
169 41 Frédéric Blanc
https://downloads.redpitaya.com/downloads/Unify/RedPitaya_OS_2.00-18_stable.img.zip
170
171 33 Frédéric Blanc
h3. bitstream de test
172 14 Frédéric Blanc
173 39 Frédéric Blanc
Le test est un compteur qui fait clignoter les LED du Redpitaya
174
175 38 Frédéric Blanc
https://redmine.laas.fr/attachments/download/4689/led_fred.bit
176 39 Frédéric Blanc
177
https://redmine.laas.fr/attachments/download/4690/led_fred.bit.bin
178 3 Frédéric Blanc
179
h2. pinout
180 5 Frédéric Blanc
181 3 Frédéric Blanc
!clipboard-202304261053-qqtl1.png!
182
!clipboard-202304251234-p78ss.png!
183 1 Frédéric Blanc
184 2 Frédéric Blanc
h2. Matlab Simulink HDL
185
186
h2. Xilinx Vivado
187 4 Frédéric Blanc
188
La carte Red Pitaya a une logique programmable faite par Xilinx et pour l'écrire pour décrire votre système numérique, vous devez utiliser le logiciel Vivado. Vivado sert à écrire votre système numérique avec un HDL et à implémenter votre système dans la logique programmable. Le résultat de la mise en œuvre d'un projet Vivado est un fichier appelé bitstream qui a une extension .bit, qui contient les informations sur les connexions des blocs logiques qui seront utilisés et les connexions entre eux.
189
190 7 Frédéric Blanc
[[Xilink_Vivado]]
191
192 1 Frédéric Blanc
h2. Shared RAM PS (CPU) PL (FPGA)
193 7 Frédéric Blanc
194 15 Frédéric Blanc
[[Shared_RAM_CPU_FPGA]]